Publications

Journals

[1] Carol Jingyi Li, Richard Rademacher, David Boland, Craig T. Jin, Chad M. Spooner, and Philip H.W. Leong. S$^3$ca: a sparse strip spectral correlation analyzer. IEEE Signal Processing Letters, 31():646–650, 2024. URL: s3ca_spl24.pdf, doi:10.1109/LSP.2024.3364062.
[2] Andrew C Cullen, Benjamin IP Rubinstein, Sithamparanathan Kandeepan, Barry Flower, and Philip HW Leong. Predicting dynamic spectrum allocation: a review covering simulation, modelling, and prediction. Artificial Intelligence Review, pages 1–39, 2023. URL: dsa_aireview23.pdf, doi:10.1007/s10462-023-10449-9.
[3] Binglei Lou, David Boland, and Philip Leong. FSEAD: a composable FPGA-based streaming ensemble anomaly detection library. ACM Trans. Reconfigurable Technol. Syst., jun 2023. URL: fsead_trets23.pdf, doi:10.1145/3568992.
[4] Yufei Yang, Chenhao Xie, Philip H. W. Leong, and Shuaiwen Leon Song. Efficient radius search for adaptive foveal sizing mechanism in collaborative foveated rendering framework. IEEE Transactions on Mobile Computing, 2023. to appear. URL: fov_tmc23.pdf, doi:10.1109/TMC.2023.3277577.
[5] Jiaxin Qing, Philip H. W. Leong, and Raymond W. Yeung. Performance analysis and optimal design of bats code: a hardware perspective. IEEE Transactions on Vehicular Technology, pages 1–14, 2023. URL: bats_tvt23.pdf, doi:10.1109/TVT.2023.3261359.
[6] Carol Jingyi Li, Xiangwei Li, Binglei Lou, Craig T. Jin, David Boland, and Philip H. W. Leong. Fixed-point fpga implementation of the fft accumulation method for real-time cyclostationary analysis. ACM Trans. Reconfigurable Technol. Syst., jun 2023. URL: cyclo_trets22.pdf, doi:10.1145/3567429.
[7] Xueyuan Liu, Carol Jingyi Li, Craig T. Jin, and Philip H. W. Leong. Wireless signal representation techniques for automatic modulation classification. IEEE Access, 10:84166–84187, 2022. URL: amc_access22.pdf, doi:10.1109/ACCESS.2022.3197224.
[8] Xiangwei Li, Douglas L. Maskell, Carol Jingyi Li, Philip H.W. Leong, and David Boland. A scalable systolic accelerator for estimation of the spectral correlation density function and its fpga implementation. ACM Trans. Reconfigurable Technol. Syst., 6 2022. URL: scd_trets22.pdf, doi:10.1145/3546181.
[9] Ayaz Umer, Chakkrit Termritthikun, Tie Qiu, Philip H. W. Leong, and Ivan Lee. On-device saliency prediction based on pseudoknowledge distillation. IEEE Transactions on Industrial Informatics, 18(9):6317–6325, 2022. URL: sal_tii22.pdf, doi:10.1109/TII.2022.3153365.
[10] Maolin Wang, Seyedramin Rasoulinezhad, Philip H. W. Leong, and Hayden K.-H. So. Niti: training integer neural networks using integer-only arithmetic. IEEE Transactions on Parallel and Distributed Systems, 33(11):3249–3261, 2022. URL: niti_tpds22.pdf, doi:10.1109/TPDS.2022.3149787.
[11] Esther Roorda, Seyedramin Rasoulinezhad, Philip H. W. Leong, and Steven J. E. Wilton. Fpga architecture exploration for dnn acceleration. ACM Trans. Reconfigurable Technol. Syst., May 2022. URL: explore_trets22.pdf, doi:10.1145/3503465.
[12] Seyedramin Rasoulinezhad, Esther Roorda, Steve Wilton, Philip H. W. Leong, and David Boland. Rethinking embedded blocks for machine learning applications. ACM Trans. Reconfigurable Technol. Syst., November 2021. URL: mlblock_trets22.pdf, doi:10.1145/3491234.
[13] Rui Tang, Jonathon Dore, Jin Ma, and Philip H.W. Leong. Interpolating high granularity solar generation and load consumption data using super resolution generative adversarial network. Applied Energy, 299:117297, 2021. URL: srgan_ae21.pdf, doi:https://doi.org/10.1016/j.apenergy.2021.117297.
[14] Johan Saltin, Nguyen Cong Dao, Philip H. W. Leong, and Hiu Yung Wong. Energy filtering effect at source contact on ultra-scaled MOSFETs. IEEE Journal of the Electron Devices Society, 8:662–667, 2020. URL: sc_jeds20.pdf, doi:10.1109/JEDS.2020.2981251.
[15] Nicholas J. Fraser and Philip H. W. Leong. Kernel normalised least mean squares with delayed model adaptation. ACM Trans. Reconfigurable Technol. Syst., February 2020. URL: dknlms_trets20.pdf, doi:10.1145/3376924.
[16] C.A. Herbert, M. Dassis, M. Pye, P.W. Jones, P.H.W. Leong, G. Thomas, H. Cope, A. Jarman, R. Hobbs, P.E. Murray, and G.E. Machovsky Capuska. Development of light-weight video-tracking technology for use in wildlife research: a case study on kangaroos. Australian Zoologist, 40(3):364–378, 2020. URL: kangaroo_austzoo20.pdf, doi:10.7882/AZ.2020.001.
[17] Julian Faraone, Martin Kumm, Martin Hardieck, Peter Zipf, Xueyuan Liu, David Boland, and Philip H.W. Leong. AddNet: deep neural networks using FPGA-optimized multipliers. IEEE Transactions on VLSI Systems, 28(1):115–128, January 2020. URL: addnet_tvlsi20.pdf, doi:10.1109/TVLSI.2019.2939429.
[18] Jason Kamran Eshraghian, Seungbum Baek, Timothée Levi, Takashi Kohno, Said F. Al-Sarawi, Philip H. W. Leong, Kyoung-Rok Cho, Derek Abbott, and Omid Kavehei. Nonlinear retinal response modeling for future neuromorphic instrumentation. IEEE Instrum. Meas. Mag., 23(1):21–29, 2020. URL: nrrm_imm20.pdf, doi:10.1109/MIM.2020.8979519.
[19] Stephen Tridgell, Martin Kumm, Martin Hardieck, David Boland, Duncan Moss, Peter Zipf, and Philip H. W. Leong. Unrolling ternary neural networks. ACM Trans. Reconfigurable Technol. Syst., 12(4):22:1–22:23, October 2019. URL: ternary_trets19.pdf, doi:10.1145/3359983.
[20] Rui Tang, Baran Yildiz, Philip H.W. Leong, Anthony Vassallo, and Jonathon Dore. Residential battery sizing model using net meter energy data clustering. Applied Energy, 251:113324, 2019. URL: rbat_ae19.pdf, doi:10.1016/j.apenergy.2019.113324.
[21] D. J. M. Moss, D. Boland, and P. H. W. Leong. A two-speed, radix-4, serial–parallel multiplier. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 27(4):769–777, 4 2019. URL: tsm_tvlsi19.pdf, doi:10.1109/TVLSI.2018.2883645.
[22] Xiang Zhang, Bryn A. Bell, Andri Mahendra, Chunle Xiong, Philip H. W. Leong, and Benjamin J. Eggleton. Integrated silicon nitride time-bin entanglement circuits. Opt. Lett., 43(15):3469–3472, 8 2018. URL: isn_oletters18.pdf, doi:10.1364/OL.43.003469.
[23] Ning Ma, Yu Peng, Shaojun Wang, and Philip H. W. Leong. An unsupervised deep hyperspectral anomaly detector. Sensors, 18(693):1–21, 2018. URL: hsianom_sensors18.pdf, doi:10.3390/s18030693.
[24] Yongfu He, Yu Peng, Shaojun Wang, Datong Liu, and Philip H.W. Leong. A structured sparse subspace learning algorithm for anomaly detection in UAV flight data. IEEE Transactions on Instrumentation and Measurement, 67(1):90–100, 1 2018. URL: sssl_tim18.pdf, doi:10.1109/TIM.2017.2754698.
[25] Nicholas J. Fraser, Junkyu Lee, Duncan J. M. Moss, Julian Faraone, Stephen Tridgell, Craig T. Jin, and Philip H. W. Leong. FPGA implementations of kernel normalised least mean squares processors. ACM Trans. Reconfigurable Technol. Syst., 10(4):26:1–26:20, December 2017. URL: knlms_trets17.pdf, doi:10.1145/3106744.
[26] X. Zhang, Y. H. Lee, B. A. Bell, P. H. W. Leong, T. Rudolph, B. J. Eggleton, and C. Xiong. Indistinguishable heralded single photon generation via relative temporal multiplexing of two sources. Opt. Express, 25(21):26067–26075, October 2017. URL: relmux_oe17.pdf, doi:10.1364/OE.25.026067.
[27] Jia-Chen Hua, Farzad Noorian, Duncan Moss, Philip H.W. Leong, and Gemunu H. Gunaratne. High-dimensional time-series prediction using kernel-based Koopman mode regression. Nonlinear Dynamics, 90:1785––1806, 11 2017. URL: tsp_nody17.pdf, doi:10.1007/s11071-017-3764-y.
[28] Jian Yan, Junqi Yuan, Philip H. W. Leong, Wayne Luk, and Lingli Wang. Lossless compression decoders for bitstreams and software binaries based on high-level synthesis. IEEE Transactions on VLSI Systems, 25:2842–2855, 2017. URL: lcomp_tvlsi17.pdf, doi:10.1109/TVLSI.2017.2713527.
[29] Nguyen Cong Dao, Abdallah El Kass, Craig T. Jin, and Philip H.W. Leong. Impact of series resistance on bulk CMOS current matching over the 5–300 K temperature range. IEEE Electron Device Letters, 38:847–850, 2017. URL: match_edl17.pdf, doi:10.1109/LED.2017.2709545.
[30] Thuy T. Pham, Philip H.W. Leong, Paul D. Robinson, Thomas Gutzler, Adelle Jee, Gregory G. King, and Cindy Thamrin. Automated quality control of forced oscillation measurements: respiratory artifact detection with advanced feature extraction. Journal of Applied Physiology, 123:jap.00726.2016, 5 2017. URL: fot_jappl17.pdf, doi:10.1152/japplphysiol.00726.2016.
[31] Thuy T. Pham, Steven T. Moore, Simon J.G. Lewis, Diep N. Nguyen, Eryk Dutkiewicz, Andrew J. Fuglevand, Alistair L. McEwan, and Philip H.W. Leong. Freezing of gait detection in Parkinson’s disease: a subject-independent detector using anomaly scores. IEEE Transactions on Biomedical Engineering, 64:2719–2728, 2017. URL: fog_tbme17.pdf, doi:10.1109/TBME.2017.2665438.
[32] Andri Mahendra, Chunle Xiong, Xiang Zhang, Benjamin J. Eggleton, and Philip H. W. Leong. Multiwavelength stabilization control of a thermo-optic system with adaptive reconfiguration. Appl. Opt., 56(4):1113–1118, 2 2017. URL: mimo_ao17.pdf, doi:10.1364/AO.56.001113.
[33] Nguyen Cong Dao, Abdallah El Kass, Mostafa Rahimi Azghadi, Craig T. Jin, Jonathan Scott, and Philip H.W. Leong. An enhanced MOSFET threshold voltage model for the 6–300 K temperature range. Microelectronics Reliability, 69:36–39, 2017. URL: vt_mr17.pdf, doi:10.1016/j.microrel.2016.12.007.
[34] M. R. Azghadi, B. Linares-Barranco, D. Abbott, and P. H. W. Leong. A hybrid CMOS-memristor neuromorphic synapse. IEEE Transactions on Biomedical Circuits and Systems, 11(2):434–445, April 2017. URL: memrsyn_tbcas16.pdf, doi:10.1109/TBCAS.2016.2618351.
[35] Philip H. W. Leong, Hideharu Amano, Jason Anderson, Koen Bertels, João M. P. Cardoso, Oliver Diessel, Guy Gogniat, Mike Hutton, Junkyu Lee, Wayne Luk, Patrick Lysaght, Marco Platzner, Viktor K. Prasanna, Tero Rissa, Cristina Silvano, Hayden Kwok-Hay So, and Yu Wang. The first 25 years of the FPL conference: significant papers. ACM Transactions on Reconfigurable Technology and Systems, 10(2):15:1–15:17, March 2017. URL: fplsig_trets16.pdf, doi:10.1145/2996468.
[36] Farzad Noorian and Philip H.W. Leong. On time series forecasting error measures for finite horizon control. IEEE Transactions on Control Systems Technology, 25(2):736–743, 3 2017. URL: fhc_tcst17.pdf, doi:10.1109/TCST.2016.2571661.
[37] Mostafa Rahimi Azghadi, Bernabe Linares-Barranco, Derek Abbott, and Philip H. W. Leong. A hybrid cmos-memristor neuromorphic synapse. IEEE Transactions on Biomedical Circuits and Systems, 11(2):434–445, 2017. URL: memrist_tbcs17.pdf, doi:10.1109/TBCAS.2016.2618351.
[38] Harrison Ball, Trung Nguyen, Philip H. W. Leong, and Michael J. Biercuk. Functional basis for efficient physical layer classical control in quantum processors. Phys. Rev. Applied, 6:064009, December 2016. URL: fb_pra16.pdf, doi:10.1103/PhysRevApplied.6.064009.
[39] Yeyong Pang, Shaojun Wang, Yu Peng, Xiyuan Peng, Nicholas J. Fraser, and Philip H. W. Leong. A microcoded kernel recursive least squares processor using FPGA technology. ACM Trans. Reconfigurable Technol. Syst., 10(1):5:1–5:22, September 2016. URL: kproc_trets16.pdf, doi:10.1145/2950061.
[40] Thuy T. Pham, Cindy Thamrin, Paul D. Robinson, Alistair L. McEwan, and Philip H.W. Leong. Respiratory artefact removal in forced oscillation measurements: a machine learning approach. IEEE Transactions on Biomedical Engineering, 64(8):1679–1687, 8 2017. URL: fot_tbme16.pdf, doi:10.1109/TBME.2016.2554599.
[41] Gabriel E. Machovsky-Capuska, David Priddel, Philip H.W. Leong, Peter Jones, Nicholas Carlile, Lesley Shannon, Dean Portelli, Alistair McEwan, Alexandre V. Chaves, and David Raubenheimer. Coupling bio-logging with nutritional geometry to reveal novel insights into the foraging behaviour of a plunge-diving marine predator. New Zealand Journal of Marine and Freshwater Research, 2016. URL: boobies_nzjmfr16.pdf, doi:http://dx.doi.org/10.1080/00288330.2016.1152981.
[42] Farzad Noorian, Anthony de Silva, and Philip Leong. gramEvol: grammatical evolution in R. Journal of Statistical Software, 71(1):1–26, 2016. URL: gev_jss15.pdf, doi:10.18637/jss.v071.i01.
[43] Ashnil Kumar, Shane Dyer, Jinman Kim, Changyang Li, Philip H. W. Leong, Michael Fulham, and Dagan Feng. Adapting content-based image retrieval techniques for the semantic annotation of medical images. Computerized Medical Imaging and Graphics, 49:37–45, April 2016. URL: clef_cmig16.pdf, doi:doi:10.1016/j.compmedimag.2016.01.001.
[44] C. Xiong, X. Zhang, Z. Liu, M.J. Collins, A. Mahendra, L.G. He, M.J. Steel, D.-Y. Choi, C.J. Chae, P.H.W. Leong, and B.J. Eggleton. Active temporal multiplexing of indistinguishable heralded single photons. Nature Communications, 2016. URL: atm_ncomms16.pdf, doi:10.1038/ncomms10853.
[45] Farzad Noorian, Barry Flower, and Philip H.W. Leong. Stochastic receding horizon control for short-term risk management in foreign exchange. Journal of Risk, 18:29–62, 2016. URL: srhc_risk15.pdf, doi:10.21314/J0R.2016.333.
[46] Anthony Mihirana de Silva, Richard I.A. Davis, Syed A. Pasha, and Philip H.W. Leong. Forecasting financial time-series with grammar guided feature generation. Computational Intelligence, 2016. URL: gram_ci15.pdf, doi:10.1111/coin.12083.
[47] C. Xiong, X. Zhang, A. Mahendra, J. He, D.-Y. Choi, C. J. Chae, D. Marpaung, A. Leinse, R. G. Heideman, M. Hoekman, C. G.H. Roeloffzen, R.M. Oldenbeuving, P.W.L. van Dijk, C. Taddei, P. H. W. Leong, and B. J. Eggleton. Compact and reconfigurable silicon nitride time-bin entanglement circuit. Optica, 2(8):724–727, August 2015. URL: tbentangle_optica15.pdf, doi:10.1364/OPTICA.2.000724.
[48] Michael Frechtling and Philip H. W. Leong. MCALIB - a tool for automated rounding error analysis. ACM Transactions on Programming Languages and Systems, 37:5:1–5:25, April 2015. URL: mca_toplas14.pdf, doi:10.1145/2665073.
[49] Man-Ho Ho, Yan-Qing Ai, Thomas C.P. Chau, Steve C.L. Yuen, Chiu-Sing Choy, Philip H.W. Leong, and Kong-Pang Pun. Architecture and design flow for a highly efficient structured ASIC. IEEE Transactions on VLSI Systems, 21(3):424–433, 2013. URL: sasic_tvlsi13.pdf.
[50] Haile Yu, Philip H.W. Leong, and Qiang Xu. An FPGA chip identification generator using configurable ring oscillator. IEEE Transactions on VLSI Systems, 20(11):2198–2207, 2012. URL: id_tvlsi12.pdf.
[51] Mengxing Ouyang, W.J. Li, P.H.W. Leong, and Ka Wai Wong. Improving carbon nanotubes sensor time response and responsivity using constant-power activation. IEEE Transactions on Nanotechnology, 11(3):624–632, 5 2012. URL: cntp_tnano12.pdf, doi:10.1109/TNANO.2012.2188641.
[52] Roberto Cardu, Philip H.W. Leong, Craig T. Jin, and Alistair McEwan. Electrode contact impedance sensitivity to variations in geometry. Physiological Measurement, 33:817–830, 2012. URL: eci_pmea12.pdf.
[53] Chenyu Wang, Jinman Kim, Craig T. Jin, Philip H. W. Leong, and Alistair McEwan. Near infrared spectroscopy in optical coherence tomography. Journal of Near Infrared Spectroscopy, 20:237–247, 2012. URL: oct_jnirs12.pdf.
[54] C.H. Ang, A.L. McEwan, A. van Schaik, C. Jin, and P.H.W. Leong. FPGA implementation of a biologically-inspired auto-associative memory. IEE Electronics Letters, 48(3):148–149, 2012. URL: aam_el12.pdf.
[55] ChiWai Yu, Alistair M. Smith, Wayne Luk, Philip H.W. Leong, and Steven J.E. Wilton. Optimizing floating point units in hybrid FPGAs. IEEE Transactions on VLSI Systems, 20:1295–1303, 2012. URL: ofpu_tvlsi12.pdf.
[56] Joydip Das, Andrew Lam, Steven J.E. Wilton, Philip Leong, and Wayne Luk. An analytical model relating FPGA architecture to logic density and depth. IEEE Transactions on VLSI Systems, 9(12):2229–2242, 2011. URL: anyl_tvlsi11.pdf.
[57] Yuet Ming Lam, J. Coutinho, Chun Hok Ho, Wayne Luk, and Philip H.W. Leong. Multi-loop parallelisation using unrolling and fission. International Journal of Reconfigurable Computing, 2010. Article ID 475620. URL: mloop_ijrc10.pdf.
[58] Chun Hok Ho, Chi Wai Yu, Philip Leong, Wayne Luk, and Steven J.E. Wilton. Floating point FPGA: architecture and modelling. IEEE Transactions on VLSI Systems, 17(12):1709–1719, 2009. \textbf In the top 25 downloaded manuscripts of the IEEE TVLSI journal, 2009 (http://tvlsi.egr.duke.edu/top25_2009.htm). URL: fpfpga_tvlsi09.pdf.
[59] Chi Wai Yu, Julien Lamoureux, Steve Wilton, Philip Leong, and Wayne Luk. The coarse-grained / fine-grained logic interface in FPGAs with embedded floating-point arithmetic units. International Journal of Reconfigurable Computing, 2008. Article ID 736203. URL: swhw_ijrc08.pdf.
[60] Steve J.E. Wilton, C.H. Ho, Brad Quinton, P.H.W. Leong, and Wayne Luk. A synthesizable datapath-oriented embedded FPGA fabric for silicon debug applications. ACM Transactions on Reconfigurable Technology and Systems, 1(1):7:1–7:25, March 2008. URL: debug_trets08.pdf.
[61] Brian M. H. Li and Philip H. W. Leong. Serial and parallel FPGA-based variable block size motion estimation processors. Journal of VLSI Signal Processing, 51(1):77–98, 2008. Special issue of selected FPT06 papers. URL: me_jvlsisp08.pdf.
[62] David B. Thomas, Wayne Luk, Philip H.W. Leong, and John D. Villasenor. Gaussian random number generators. ACM Computing Surveys, 39(4):11:1–11:38, 2007. URL: grng_acmcs07.pdf.
[63] Mandy L. Y. Sin, Gary C. T. Chow, Gary M. K. Wong, Wen J. Li, Philip H. W. Leong, and Ka Wai Wong. Ultra-low-power alcohol vapor sensors using chemically functionalized multi-walled carbon nanotubes. IEEE Transactions on Nanotechnology, 6(5):571–577, September 2007. URL: alcoholcnt_tnano07.pdf.
[64] K.H. Tsoi, Ka Ho Leung, and Philip H.W. Leong. A high performance physical random number generator. IEE Proc. Computers & Digital Techniques, 1(4):349–352, July 2007. URL: prng_cdt07.pdf.
[65] Steve C.L. Yuen, Johnny M.H. Lee, Wen J. Li, and Philip H.W. Leong. An AA-sized micro power generator and its application to a wireless sensor system. IEEE Pervasive Computing, 6(1):64–72, March 2006. URL: mpg_pervasive06.pdf.
[66] D. Lee, J.D. Villasenor, W. Luk, and P.H.W. Leong. A hardware Gaussian noise generator using the Box-Muller method and its error analysis. IEEE Transactions on Computers, 55(6):659–671, June 2006. URL: bm_tc06.pdf.
[67] M.P. Leong, C.C. Cheung, C.W. Cheung, P.P.M. Wan, K.H. Leung, W.M.M. Yeung, W.S. Yuen, K.S.K. Chow, K.S. Leung, and P.H.W. Leong. A parallel library for financial engineering applications. IEEE Computer, 38(10):70–77, October 2005. URL: cpe_computer05.pdf.
[68] Dong-U Lee, Wayne Luk, John D. Villasenor, Guanglie Zhang, and Philip H.W. Leong. A hardware Gaussian noise generator using the Wallace method. IEEE Transactions on VLSI Systems, 13(8):911–920, August 2005. URL: wallace_tvlsi05.pdf.
[69] Philip H.W. Leong, Ganglie Zhang, Dong-U Lee, Wayne Luk, and John D. Villasenor. A comment on the implementation of the Ziggurat method. Journal of Statistical Software, 2005. http://www.jsatsoft.org. URL: zig_jss05.pdf.
[70] M.P. Leong, C.T. Jin, and P.H.W. Leong. An FPGA–based electronic cochlea. EURASIP Journal on Applied Signal Processing, 2003(7):629–638, 2003. Special issue on neuromorphic signal processing and implementations. URL: ec_asp03.pdf.
[71] M.P. Leong and P.H.W. Leong. A variable-radix digit-serial design methodology and its application to the discrete cosine transform. IEEE Transactions on VLSI Systems, 11(1):90–104, 2003. URL: dct_tvlsi02.pdf.
[72] P.H.W. Leong and K.H. Leung. A microcoded elliptic curve processor using FPGA technology. IEEE Transactions on VLSI Systems, 10(5):550–559, 2002. URL: ecc_tvlsi02.pdf.
[73] Neil N. H. Ching, H. Y. Wong, Wen J. Li, Philip H. W. Leong, and Zhiyu Wen. A laser-micromachined multi-modal resonating power transducer for wireless sensing systems. Sensors and Actuators A: Physical, 97–98:685–690, 2002. URL: gen_sa02.pdf.
[74] P.H.W. Leong, C.W. Sham, W.C. Wong, H.Y. Wong, W.S. Yuen, and M.P. Leong. A bitstream reconfigurable FPGA implementation of the WSAT algorithm. IEEE Transactions on VLSI Systems, 9(1):197–201, 2001. URL: wsat_tvlsi01.pdf.
[75] W.J. Li, G.M.H. Chan, N.N.H. Ching, P.H.W. Leong, and H.Y. Wong. Dynamical modeling and simulation of a laser-micromachined vibration-based micro power generator. International Journal of Nonlinear Sciences and Simulation, 1:345–353, 2000.
[76] W. Chung, S. Carlile, and P. Leong. A performance adequate model for auditory localization. Journal of the Acoustical Society of America, 107(1):432–445, 2000. URL: perf_jasa00.pdf.
[77] P.H.W. Leong and C.K. Chung. FPGA based runtime configurable clause evaluator for SAT problems. IEE Electronics Letters, 35(19):1618–1619, September 1999. URL: ce_el99.pdf.
[78] C.T. Jin, P.L. Rolandi, and P.H.W. Leong. Non–volatile programmable pulse computation cell. IEE Electronics Letters, 35(17):1413–1414, August 1999. URL: ppg_el99.pdf.
[79] P. Leong and S. Carlile. Methods for spherical data analysis and visualization. Journal of Neuroscience Methods, 80(2):191–200, 1998. source code available from \url https://phwl.github.io/assets/papers/spak_2-1.zip. URL: spak_jnm98.pdf.
[80] S. Carlile, P. Leong, S. Hyams, and D. Pralong. The nature and distribution of errors in the localization of sounds in humans. Hearing Research, 114:179–196, 1997. URL: errors_hr97.pdf.
[81] P. H. W. Leong and M.A. Jabri. A low power VLSI arrhythmia classifier. IEEE Transactions on Neural Networks, 6(6):1435–1445, 11 1995. URL: matic_tnn95.pdf.
[82] P.H.W. Leong and M.A. Jabri. Kakadu - a low power analogue neural network classifier. International Journal of Neural Systems, pages 381–394, December 1993.
[83] M. Jabri, S. Pickard, P. Leong, and Y. Xie. Algorithms and implementation issues in analog low power learning neural network chips. International Journal on VLSI Signal Processing, 6(2):67–76, March 1993.
[84] P.H.W. Leong and M. Jabri. Matic - an intracardiac tachycardia classification system. Pacing and Clinical Electrophysiology (PACE), 15:1317–1331, September 1992.

Conferences

[1] Mohammad Reza Jabbarpour, Bahman Javadi, Philip H.W. Leong, Rodrigo N. Calheiros, David Boland, and Chris Butler. Performance analysis of federated learning in orbital edge computing. In Proc. IEEE/ACM 16th International Conference on Utility and Cloud Computing Proceedings, to appear. 2023. URL: fedorbit_ucc23.pdf.
[2] Teng-Hui Huang, Thilini Dahanayaka, Kanchana Thilakarathna, Philip H.W. Leong, and Hesham El Gamal. The Wyner variational autoencoder for unsupervised multi-layer wireless fingerprinting. In Proc. Globecom, to appear. 2023. URL: wyner_globecom23.pdf.
[3] Chuliang Guo, Binglei Lou, Xueyuan Liu, David Boland, Philip H.W. Leong, and Cheng Zhuo. BOOST: block minifloat-based on-device CNN training accelerator with transfer learning. In Proc. ICCAD, to appear. 2023. URL: boost_iccad23.pdf.
[4] Wenjie Zhou, Haoyan Qi, David Boland, and Philip H.W. Leong. FPGA implementation of N-BEATS for time series forecasting using block minifloat arithmetic. In Proc. Asia Pacific Conference on Circuits and Systems (IEEE APCCAS 2022). 2022. URL: nbeats_apccas22.pdf.
[5] Yuan Dai, Simin Liu, Yao Lu, Hao Zhou, SeyedRamin Rasoulinezhad, Philip H.W. Leong, and Lingli Wang. APIR-DSP: an approximate PIR-DSP architecture for error-tolerant applications. In 2021 International Conference on Field-Programmable Technology (ICFPT), volume, 1–8. 2021. URL: apir_fpt21.pdf, doi:10.1109/ICFPT52863.2021.9609927.
[6] Sean Fox, Seyedramin Rasoulinezhad, Julian Faraone, and David Boland Philip H.W. Leong. A block minifloat representation for training deep neural networks. In Proc. of The International Conference on Learning Representations (ICLR). 2021. URL: bm_iclr21.pdf.
[7] Cyrus Wing-Hei Chan, Philip H.W. Leong, and Hayden Kwok-Hay So. Vision guided crop detection in field robots using fpga-based reconfigurable computers. In FoodCAS (workshop within ISCAS), 1–5. 2020. URL: crop_foodcas20.pdf, doi:10.1109/ISCAS45731.2020.9181302.
[8] Stephen Tridgell, David Boland, Philip HW Leong, Ryan Kastner, Alireza Khodamoradi, and Siddhartha. Real-time automatic modulation classification using RFSoC. In 2020 IEEE International Parallel and Distributed Processing Symposium Workshops, IPDPSW 2020, New Orleans, LA, USA, May 18-22, 2020, 82–89. IEEE, 2020. URL: amc_raw20.pdf, doi:10.1109 / IPDPSW50202.2020.00021.
[9] Seyedramin Rasoulinezhad, Siddhartha, Hao Zhou, Lingli Wang, David Boland, and Philip H. W. Leong. LUXOR: an FPGA logic cell architecture for efficient compressor tree implementations. In The 2020 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, FPGA ‘20, 161–171. New York, NY, USA, 2020. Association for Computing Machinery. URL: luxor_fpga20.pdf, doi:10.1145/3373087.3375303.
[10] Sean Fox, Julian Faraone, David Boland, Kees Vissers, and Philip H.W. Leong. Training deep neural networks in low-precision with high accuracy using FPGAs. In Proc. International Conference on Field Programmable Technology (FPT), 1–9. 2019. Best Paper Award Candidate. URL: lptrain_fpt19.pdf, doi:10.1109/ICFPT47387.2019.00009.
[11] Stephen Tridgell, David Boland, Philip H.W. Leong, and Siddhartha. Real-time automatic modulation classification. In Proc. International Conference on Field Programmable Technology (FPT), 299–302. 2019. URL: amc_fpt19.pdf, doi:10.1109/ICFPT47387.2019.00052.
[12] SeyedRamin Rasoulinezhad, Sean Fox, Hao Zhou, Lingli Wang, David Boland, and Philip H.W. Leong. MajorityNets: BNNs utilising approximate popcount for improved efficiency. In Proc. International Conference on Field Programmable Technology (FPT), 399–342. 2019. URL: majnet_fpt19.pdf, doi:10.1109/ICFPT47387.2019.00062.
[13] SeyedRamin Rasoulinezhad, Hao Zhou, Lingli Wang, and Philip H.W. Leong. PIR-DSP: an FPGA DSP block architecture for multi-precision deep neural networks. In Proc. IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM), 1–8. 2019. URL: pirdsp_fccm19.pdf, doi:10.1109/FCCM.2019.00015.
[14] Rui Tang, Philip H W Leong, Jonathon Dore, and Anthony Vassallo. Generating residential PV production and electricity consumption scenarios via generative adversarial networks. In Proc. of The Asia-Pacific Solar Research Conference. 12 2018. URL: res_apsrc18.pdf.
[15] Siddhartha, Steven J.E. Wilton, David Boland, Barry Flower, Perry Blackmore, and Philip H.W. Leong. Simultaneous inference and training using on-FPGA weight perturbation techniques. In Proc. International Conference on Field Programmable Technology (FPT), 306–309. 12 2018. URL: wp_fpt18.pdf, doi:10.1109/FPT.2018.00060.
[16] Siddhartha, Yee Hui Lee, Duncan J.M. Moss, Julian Faraone, Perry Blackmore, Daniel Salmond, David Boland, and Philip H.W. Leong. Long short-term memory for radio frequency spectral prediction and its real-time FPGA implementation. In Proc. MILCOM, 1–9. October 2018. URL: lstm_milcom18.pdf, doi:10.1109/MILCOM.2018.8599833.
[17] Julian Faraone, Giulio Gambardella, David Boland, Nicholas Fraser, Michaela Blott, and Philip H.W. Leong. Customizing low-precision deep neural networks for FPGAs. In Proc. International Conference on Field Programmable Logic and Applications (FPL). 2018. URL: dnn_fpl18.pdf, doi:10.1109/FPL.2018.00025.
[18] Julian Faraone, Nicholas Fraser, Michaela Blott, and Philip H.W. Leong. SYQ: learning symmetric quantization for efficient deep neural networks. In Proc. Computer Vision and Pattern Recognition (CVPR). June 2018. URL: syq_cvpr18.pdf, doi:10.1109/CVPR.2018.00452.
[19] Xiang Zhang, Bryn A. Bell, Andri Mahendra, Chunle Xiong, Philip H.W. Leong, and Benjamin J. Eggleton. Integrated silicon nitride time-bin entanglement circuits. In Conference on Lasers and Electro-Optics, FTh1G.8. Optical Society of America, 2018. URL: isn_oletters18.pdf, doi:10.1364/CLEO_QELS.2018.FTh1G.8.
[20] Jiang Su, Julian Faraone, Junyi Liu, Yiren Zhao, David B. Thomas, Philip H.W. Leong, and Peter Y.K. Cheung. Redundancy-reduced mobilenet acceleration on reconfigurable logic for imagenet classification. In Applied Reconfigurable Computing (ARC), 16–28. 2018. URL: mnet_arc18.pdf.
[21] D. H. Noronha, P. H. W. Leong, and S. J. E. Wilton. Kibo: an open-source fixed-point tool-kit for training and inference in fpga-based deep learning networks. In 2018 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW), volume, 178–185. May 2018. doi:10.1109/IPDPSW.2018.00034.
[22] Duncan Moss, David Boland, Peyam Pourbeik, and Philip H.W. Leong. Real-time FPGA-based anomaly detection for radio frequency signals. In IEEE Int. Symp. on Circuit and Systems (ISCAS), volume. May 2018. URL: rfanomaly_iscas18.pdf, doi:10.1109/ISCAS.2018.8350890.
[23] Sean Fox, David Boland, and Philip Leong. FPGA Fastfood - a high speed systolic implementation of a large scale online kernel method. In Proceedings of the 2018 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, FPGA ‘18, 279–284. New York, NY, USA, 2018. ACM. URL: ff_fpga18.pdf, doi:10.1145/3174243.3174271.
[24] Duncan J.M Moss, Srivatsan Krishnan, Eriko Nurvitadhi, Piotr Ratuszniak, Chris Johnson, Jaewoong Sim, Asit Mishra, Debbie Marr, Suchit Subhaschandra, and Philip H.W. Leong. A customizable matrix multiplication framework for the Intel HARPv2 Xeon+FPGA platform: a deep learning case study. In Proceedings of the 2018 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, FPGA ‘18, 107–116. New York, NY, USA, 2018. ACM. URL: cmm_fpga18.pdf, doi:10.1145/3174243.3174258.
[25] Rui Tang, Khalid Abdulla, Philip H.W. Leong, Anthony Vassallo, and Jonathon Dore. Impacts of temporal resolution and system efficiency on PV battery system optimisation. In Proc. of The Asia-Pacific Solar Research Conference. Dec 2017. URL: tres_apsrc17.pdf.
[26] Julian Faraone, Nicholas Fraser, Giulio Gamberdella, Michaela Blott, and Philip H.W. Leong. Compressing low precision deep neural networks using sparsity-induced regularization in ternary networks. In Proc. International Conference On Neural Information Processing (ICONIP), volume 10635 of LNCS. 2017. URL: lp_iconip17.pdf, doi:10.1007/978-3-319-70096-0_41.
[27] Yaman Umuroglu, Nicholas J. Fraser, Giulio Gambardella, Michaela Blott, Philip Leong, Magnus Jahre, and Kees Vissers. FINN: a framework for fast, scalable binarized neural network inference. In Proc. ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA), 65–74. 2017. Source code available from https://github.com/Xilinx/BNN-PYNQ. URL: bnn_fpga17.pdf, doi:10.1145/3020078.3021744.
[28] Sean Fox, Stephen Tridgell, Craig T. Jin, and Philip H. W. Leong. Random projections for scaling machine learning on FPGAs. In Proc. International Conference on Field Programmable Technology (FPT), 85–92. 12 2016. URL: rp_fpt16.pdf, doi:10.1109/FPT.2016.7929193.
[29] Shaojun Wang, Xinyu Niu, Ning Ma, Wayne Luk, Philip Leong, and Yu Peng. A scalable dataflow accelerator for real time onboard hyperspectral image classification. In Vanderlei Bonato, Christos Bouganis, and Marek Gorgon, editors, Applied Reconfigurable Computing: 12th International Symposium, ARC 2016 Mangaratiba, RJ, Brazil, March 22–24, 2016 Proceedings, 105–116. Cham, 2016. Springer International Publishing. URL: svm_arc16.pdf, doi:10.1007/978-3-319-30481-6_9.
[30] A. Mahendra, C. Xiong, X. Zhang, B. J. Eggleton, and P. H. W. Leong. Dynamic thermal control of silicon nitride photonic integrated circuits. In 40th Australian Conference on Optical Fibre Technology. 2015. URL: temp_anzcop15.pdf.
[31] Stephen Tridgell, Duncan J.M. Moss, Nicholas J. Fraser, and Philip H.W. Leong. Braiding: a scheme for resolving hazards in kernel adaptive filters. In Proc. International Conference on Field Programmable Technology (FPT), 136–143. 2015. URL: braiding_fpt15.pdf, doi:10.1109/FPT.2015.7393140.
[32] P.H.W. Leong, H. Amano, J. Anderson, K. Bertels, J.M.P. Cardoso, O. Diessel, G. Gogniat, M. Hutton, JunKyu Lee, W. Luk, P. Lysaght, M. Platzner, V.K. Prasanna, T. Rissa, C. Silvano, H. So, and Yu Wang. Significant papers from the first 25 years of the FPL conference. In Proc. International Conference on Field Programmable Logic and Applications (FPL), 1–3. 2015. URL: sig_fpl15.pdf, doi:10.1109/FPL.2015.7293747.
[33] Nicholas J. Fraser, Duncan J.M. Moss, JunKyu Lee, Stephen Tridgell, Craig T. Jin, and Philip H.W. Leong. A fully pipelined kernel normalised least mean squares processor for accelerated parameter optimisation. In Proc. International Conference on Field Programmable Logic and Applications (FPL), 1–6. 2015. URL: knlms_fpl15.pdf, doi:10.1109/FPL.2015.7293952.
[34] Zhe Zhang and Philip H.W. Leong. An online algorithm for least-square spectral analysis: applied to time-frequency analysis of heart rate. In Proc. International IEEE Engineering in Medicine and Biology Conference (EMBC), 2219–2222. 2015. URL: lssa_embc15.pdf, doi:10.1109/EMBC.2015.7318832.
[35] C. Xiong, X. Zhang, Z. Liu, A. Mahendra, D.-Y. Choi, C. J. Chae, P. H. W. Leong, M. J. Collins, and B. J. Eggleton. Active temporal multiplexing of a silicon heralded single-photon source. In 2015 European Conference on Lasers and Electro-Optics - European Quantum Electronics Conference, PD­B­4. Optical Society of America, 2015. URL: http://www.osapublishing.org/abstract.cfm?URI=CLEO_Europe-2015-PD_B_4.
[36] Lesley Shannon, Veronica Cojocaru, Cong Nguyen Dao, and Philip H.W. Leong. Trends in reconfigurable computing: applications and architectures. In Proc. IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM), 1–8. 2015. Data available from http://phwl.org/assets/papers/trends_fccm15.zip. URL: trends_fccm15.pdf, doi:10.1109/FCCM.2015.11.
[37] Kevin Nguyen, David Humphrey, Mark Hedley, and Philip H.W Leong. Phase recovery for time of arrival estimation in the presence of interference. In Proc. IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP), 4140–4144. 2015. URL: phase_icassp15.pdf, doi:10.1109/ICASSP.2015.7178750.
[38] Nicholas J. Fraser, Duncan J.M. Moss, Nicolas Epain, and Philip H.W. Leong. Distributed kernel learning using kernel recursive least squares. In Proc. IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP), 5500–5504. 2015. URL: dkl_icassp15.pdf, doi:10.1109/ICASSP.2015.7179023.
[39] Duncan J.M. Moss, Zhe Zhang, Nicholas J. Fraser, and Philip H.W. Leong. An FPGA-based spectral anomaly detection system (with errata). In Proc. International Conference on Field Programmable Technology (FPT), 175–182. 2014. URL: tsa_fpt14.pdf, doi:10.1109/FPT.2014.7082772.
[40] Ashnil Kumar, Shane Dyer, Changyang Li, Philip H.W. Leong, and Jinman Kim. Automatic annotation of liver CT images: the submission of the BMET group to ImageCLEFmed. In CLEF 2014 Evaluation Labs and Workshop, Online Working Notes, 428–437. 2014. First place result. URL: liver_clef14.pdf.
[41] Lei Li, Farzad Noorian, Duncan J.M. Moss, and Philip H.W. Leong. Rolling window time series prediction using mapreduce. In Proc. IEEE International Conference on Information Reuse and Integration (IRI), 757–764. 2014. URL: ts_iri14.pdf, doi:10.1109/IRI.2014.7051965.
[42] Thuy Pham, Andrew Fuglevand, Alistair McEwan, and Philip H.W. Leong. Unsupervised discrimination of motor unit action potentials using spectrograms. In Proc. International IEEE Engineering in Medicine and Biology Conference (EMBC), 1–4. 2014. URL: ap_embc14.pdf, doi:10.1109/EMBC.2014.6943514.
[43] Thomas C.P. Chau, Maciej Kurek, James Stanley Targett, Jake Humphrey, Georgios Skouroupathis, Alison Eele, Jan Maciejowski, Benjamin Cope, Kathryn Cobden, Philip H.W. Leong, Peter Y.K. Cheung, and Wayne Luk. Generating reconfigurable design for sequential Monte Carlo applications. In Proc. IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM), 141–148. 2014. URL: smc_fccm14.pdf, doi:10.1109/FCCM.2014.46.
[44] Farzad Noorian and Philip H.W. Leong. Dynamic hedging of foreign exchange risk using stochastic model predictive control (with errata). In IEEE Symposium on Computational Intelligence for Financial Engineering & Economics - (CIFEr), 441–448. 2014. URL: smpc_cifer14.pdf, doi:10.1109/CIFEr.2014.6924107.
[45] Yeyong Pang, Shaojun Wang, Yu Peng, Nick Fraser, and Philip H.W. Leong. A low latency kernel recursive least squares processor using FPGA technology. In Proc. International Conference on Field Programmable Technology (FPT), 144–151. 2013. URL: krls_fpt13.pdf, doi:10.1109/FPT.2013.6718345.
[46] Anthony Mihirana de Silva, Farzad Noorian, Richard I. A. Davis, and Philip H. W. Leong. A hybrid feature selection and generation algorithm for electricity load prediction using grammatical evolution. In IEEE 12th International Conference on Machine Learning and Applications ICMLA 2013, special session on Machine Learning in Energy Applications, 211–217. 2013. URL: fs_icmla13.pdf, doi:10.1109/ICMLA.2013.125.
[47] Syed A. Pasha and Philip H.W. Leong. Cluster analysis of high-dimensional high-frequency financial time series. In IEEE Symposium on Computational Intelligence for Financial Engineering & Economics - (CIFEr), 68–75. 2013. Runner-up, Best Paper Award. URL: ls_cifer13.pdf, doi:10.1109/CIFEr.2013.6611700.
[48] YiQiao Zhang, Thuraiappah Sathyan, Mark Hedley, Philip H.W. Leong, and Ahmed Pasha. Hardware efficient parallel particle filter for tracking in wireless networks. In IEEE 23rd International Symposium on Personal, Indoor and Mobile Radio Communications - (PIMRC), 1734–1739. 2012. URL: pf_pimrc12.pdf.
[49] Gary Chun Tak Chow, Wayne Luk, Philip Leong, and David Thomas. A mixed precision methodology for mathematical optimisation. In Proc. IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM), 33–36. 2012. URL: mixed_fccm12.pdf.
[50] Gary Chun Tak Chow, Qiwei Jin, Anson Hong Tak Tse, Wayne Luk, Philip Leong, and David Thomas. A mixed precision Monte Carlo methodology for reconfigurable accelerator systems. In Proc. ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA), 57–66. 2012. URL: mixed_fpga12.pdf.
[51] Haile Yu, Qiang Xu, and Philip Heng Wai Leong. On timing yield improvement for FPGA designs using architectural symmetry. In Proc. International Conference on Field Programmable Logic and Applications (FPL), 539–544. 2011. URL: yield_fpl11.pdf.
[52] Colin Yu Lin, Hayden Kwok-Hay So, and Philip Heng Wai Leong. A model for matrix multiplication performance on FPGAs. In Proc. International Conference on Field Programmable Logic and Applications (FPL), 305–310. 2011. URL: mm_fpl11.pdf.
[53] Elaine Ou and Philip Leong. Emerging non-volatile memory technologies for reconfigurable architectures. In IEEE International Midwest Symposium on Circuits and Systems (Special Session on Reconfigurable Architecture), 1–4. 2011. Invited talk. URL: nv_mwscas11.pdf.
[54] Gary C.T. Chow, K.W. Kwok, Wayne Luk, and Philip H.W. Leong. Mixed precision processing in reconfigurable systems. In Proc. IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM), 17–24. 2011. URL: mixed_fccm11.pdf.
[55] Jackson H.C. Yeung, Evangeline F.Y. Young, and Philip H.W. Leong. A Monte-Carlo floating point unit for self-validating arithmetic. In Proc. ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA), 199–207. 2011. URL: mca_fpga11.pdf.
[56] Haile Yu, Qiang Xu, and Philip H.W. Leong. Fine-grained characterization of process variation in FPGAs. In Proc. International Conference on Field Programmable Technology (FPT), 138–145. 2010. URL: pv_fpt10.pdf.
[57] Haile Yu, Philip H.W. Leong, and Qiang Xu. An FPGA chip identification generator using configurable ring oscillator. In Proc. International Conference on Field Programmable Technology (FPT), 312–315 (poster). 2010. URL: id_fpt10.pdf.
[58] Gary C.T. Chow, Ken Eguro, and Philip Leong. A Karasuba-based Montgomery multiplier. In Proc. International Conference on Field Programmable Logic and Applications (FPL), 434–437 (poster). 2010. URL: km_fpl10.pdf.
[59] Kit Cheung, Simon R. Schultz, and Philip H.W. Leong. A parallel spiking neural network simulator. In Proc. International Conference on Field Programmable Technology (FPT), 247–254. 2009. URL: snn_fpt09.pdf.
[60] Eddie Hung, Steven J. E. Wilton, Haile Yu, Thomas C. P. Chau, and Philip H.W. Leong. A detailed delay path model for FPGAs. In Proc. International Conference on Field Programmable Technology (FPT), 96–103. 2009. URL: delay_fpt09.pdf.
[61] Steve Wilton, Philip Leong, and Wayne Luk. Modeling post-techmapping and post-clustering FPGA circuit depth. In Proc. International Conference on Field Programmable Logic and Applications (FPL), 205–211. 2009. URL: post_fpl09.pdf.
[62] Haile Yu, P.H.W. Leong, H. Hinkelmann, L. Moller, M. Glesner, and P. Zipf. Towards a unique FPGA-based identification circuit using process variations. In Proc. International Conference on Field Programmable Logic and Applications (FPL), 397–402. 2009. URL: id_fpl09.pdf.
[63] Thomas C. P. Chau, Sam M. H. Ho, Philip H.W. Leong, Peter Zipf, and Manfred Glesner. Generation of synthetic floating-point benchmark circuits. In Proc. International Symposium on Parallel and Distributed Processing (IPDPS), 1–9. 2009. URL: sfp_raw09.pdf.
[64] Thomas C.P. Chau, Philip H.W. Leong, Sam M.H. Ho, Brian P.W. Chan, Steve C.L. Yuen, Kong-Pang Pun, and Oliver C.S. Choy. A comparison of via-programmable gate array logic cell circuits. In Proc. ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA), 53–61. 2009. URL: sasic_fpga09.pdf.
[65] Y.M. Lam, J.G.F. Coutinho, W. Luk, and P. H. W. Leong. Optimising multi-loop programs for heterogeneous computing systems. In Proc. Southern Programmable Logic Conference (SPL), 129–134. 2009. URL: oloop_spl09.pdf.
[66] Oskar Mencer, Kuen Hung Tsoi, Stephen Craimer, Timothy Todman, Wayne Luk, Ming Yee Wong, and Philip Heng Wai Leong. CUBE: a 512-FPGA cluster. In Proc. Southern Programmable Logic Conference (SPL), 51–57. 2009. URL: cube_spl09.pdf.
[67] Y.M. Lam, J.G.F. Coutinho, W. Luk, and P.H.W. Leong. Unrolling-based loop mapping and scheduling. In Proc. International Conference on Field Programmable Technology (FPT), 321–324. 2008. URL: unroll_fpt08.pdf.
[68] Y.M. Lam, J.G.F. Coutinho, W. Luk, and P.H.W. Leong. Mapping and scheduling with task clustering for heterogeneous computing systems. In Proc. International Conference on Field Programmable Logic and Applications (FPL), 275–280. 2008. URL: mapsched_fpl08.pdf.
[69] Haile Yu, Yuk Hei Chan, and Philip H.W. Leong. FPGA interconnect design using logical effort. In Proc. International Conference on Field Programmable Logic and Applications (FPL), 447–450. 2008. URL: le_fpl08.pdf.
[70] Chun Hok Ho, Philip H.W. Leong, Wayne Luk, and Steve Wilton. Rapid estimation of power consumption for hybrid FPGAs. In Proc. International Conference on Field Programmable Logic and Applications (FPL), 227–232. 2008. Stamatis Vassiliadis Award for Outstanding Paper. URL: hpwr_fpl08.pdf.
[71] Andrew Lam, Steven J.E. Wilton, Philip Leong, and Wayne Luk. An analytical model describing the relationships between logic architecture and FPGA density. In Proc. International Conference on Field Programmable Logic and Applications (FPL), 221–226. 2008. URL: analy_fpl08.pdf.
[72] Jackson H.C. Yeung, C.C. Tsang, K.H. Tsoi, Bill S.H. Kwan, Chris C.C. Cheung, Anthony P.C. Chan, and Philip H.W. Leong. Map-reduce as a programming model for custom computing machines. In Proc. IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM), 149–159. 2008. URL: mr_fccm08.pdf.
[73] Chi Wai Yu, Julien Lamoureux, Steven J.E. Wilton, Philip H.W. Leong, and Wayne Luk. The coarse-grained / fine-grained logic interface in FPGAs with embedded floating-point arithmetic units. In Proc. Southern Programmable Logic Conference (SPL), 63–68. 2008. Synplicity Best Ph.D. Student Paper Award. URL: iface_spl08.pdf.
[74] Philip H.W. Leong. Recent trends in FPGA architectures and applications. In Proc. 4th IEEE International Symposium on Electronic Design, Test and Applications, 137–141. 2008. Invited. URL: rtfpga_delta08.pdf.
[75] Chun Hok Ho, Chi Wai Yu, Philip H.W. Leong, Wayne Luk, and Steve Wilton. Domain-specific hybrid FPGA: architecture and floating point applications. In Proc. International Conference on Field Programmable Logic and Applications (FPL), 196–201. 2007. Stamatis Vassiliadis Award for Outstanding Paper. URL: hbdfpga_fpl07.pdf.
[76] Steve J.E. Wilton, C.H. Ho, P.H.W. Leong, Wayne Luk, and Brad Quinton. A synthesizable datapath-oriented embedded FPGA fabric. In Proc. ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA), 33–41. 2007. URL: dpathfpga_fpga07.pdf.
[77] Brian M.H. Li and Philip H.W. Leong. FPGA-based MSB-first bit-serial variable block size motion estimation processor. In Proc. International Conference on Field Programmable Technology (FPT), 165–172. 2006. URL: me_fpt06.pdf.
[78] C.K. Wong and P.H.W. Leong. An FPGA-based electronic cochlea with dual fixed-point arithmetic. In Proc. International Conference on Field Programmable Logic and Applications (FPL), 205–210. 2006. URL: cochlea_fpl06.pdf.
[79] Kieron Turkington, Konstantinos Masselos, George A. Constantinides, and Philip Leong. FPGA based acceleration of the linpack benchmark: a high level code transformation approach. In Proc. International Conference on Field Programmable Logic and Applications (FPL), 275–380. 2006. URL: linpack_fpl06.pdf.
[80] C.H. Ho, P.H.W. Leong, W. Luk, S.J.E. Wilton, and S. Lopez-Buedo. Virtual embedded blocks: a methodology for evaluating embedded elements in FPGA. In Proc. IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM), 35–44. 2006. URL: veb_fccm06.pdf.
[81] Ocean Y. H. Cheung, Philip H. W. Leong, Eric K. C. Tsang, and Bertram E. Shi. A scalable FPGA implementation of cellular neural networks for Gabor-type filtering. In Proc. International Joint Conference on Neural Networks, 15–20. 2006. URL: gabor_ijcnn06.pdf.
[82] Yang Liu, Christos-Savvas Bouganis, Peter Y. K. Cheung, Philip H.W. Leong, and Stephen J. Motley. Hardware efficient architectures for eigenvalue computation. In Proc. Design automation and test in Europe (DATE), 953–958. 2006. URL: eig_date06.pdf.
[83] Mandy L. Y. Sin, Gary C. T. Chow, Carmen K. M. Fung, Wen J. Li, Philip Leong, K. W. Wong, and Terry Lee. Ultra-low-power alcohol vapor sensors based on multi-walled carbon nanotube. In Proc. IEEE International Conference on Nano/Micro Engineered and Molecular Systems (NEMS), 1198 – 1202. 2006. URL: alcohol_nems06.pdf.
[84] G. L. Zhang, P. H. W. Leong, C. H. Ho, K. H. Tsoi, C. C. C. Cheung, D-U. Lee, R. C. C. Cheung, and W. Luk. Reconfigurable acceleration for Monte Carlo based financial simulation. In Proc. International Conference on Field Programmable Technology (FPT), 215–222. 2005. URL: bgm_fpt05.pdf.
[85] C.T. Chow, L.S.M. Tsui, P.H.W. Leong, W. Luk, and S. Wilton. Dynamic voltage scaling for commercial FPGA. In Proc. International Conference on Field Programmable Technology (FPT), 173–180. 2005. Best paper award. URL: dvs_fpt05.pdf.
[86] Ocean Y. H. Cheung, Philip H. W. Leong, Eric K. C. Tsang, and Bertram E. Shi. Implementation of Gabor-type filters on field programmable gate arrays. In Proc. International Conference on Field Programmable Technology (FPT), 327–328. 2005. URL: gabor_fpt05.pdf.
[87] P.H.W. Leong and K.H. Tsoi. Field programmable gate array technology for robotics applications. In IEEE International Conference on Robotics and Bioimetics (ROBIO), 295–298. July 2005. URL: fpga_robio05.pdf.
[88] K.H. Tsoi and P.H.W. Leong. Mullet - a parallel multiplier generator. In Proc. International Conference on Field Programmable Logic and Applications (FPL), 691–694. 2005. URL: mullet_fpl05.pdf.
[89] Guanglie Zhang, Dong-U Lee Philip H.W. Leong, John D. Villasenor, Ray C.C. Cheung, and Wayne Luk. Ziggurat-based hardware gaussian random number generator. In Proc. International Conference on Field Programmable Logic and Applications (FPL), 275–280. 2005. URL: zig_fpl05.pdf.
[90] C.K. Wong, K.K. Lo, and P.H.W. Leong. An FPGA-based othello endgame solver. In Proc. International Conference on Field Programmable Technology (FPT), 81–88. 2004. URL: othello_fpt04.pdf.
[91] Ralf Ludewig, Oliver Soffke, Peter Zipf, Kong Pang Pun Manfred Glesner, Kuen Hung Tsoi, Kin Hong Lee, and Philip Leong. Ip generation for an FPGA-based audio dac sigma-delta converter. In Proc. International Conference on Field Programmable Logic and Applications (FPL), volume 3203 of LNCS, 526–535. 2004. URL: sdconv_fpl04.pdf.
[92] K.H. Tsoi, C.H. Ho, H.C. Yeung, and P.H.W. Leong. An arithmetic library and its application to the N-body problem. In Proc. IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM), 68–78. 2004. URL: cast_fccm04.pdf.
[93] S.C.L. Yuen, J.M.H. Lee, M.H.M. Luk, G.M.H. Chan, K.F. Lei, P.H.W. Leong, W.J. Li, and Y. Yeung. Aa size micro power conversion cell for wireless applications. In Fifth World Congress on Intelligent Control and Automation (WCICA), volume 6, 5629–5634. 2004. URL: gen_wcica04.pdf.
[94] Ray C.C. Cheung, K.P. Pun, Steve C.L. Yuen, K.H. Tsoi, and Philip H.W. Leong. An FPGA-based re-configurable 24-bit 96khz sigma-delta audio dac. In Proc. International Conference on Field Programmable Technology (FPT), 110–117. 2003. URL: dac_fpt03.pdf.
[95] S.H. Tang, K.S. Tsui, and P.H.W. Leong. Modular exponentiation using parallel multipliers. In Proc. International Conference on Field Programmable Technology (FPT), 52–59. 2003. URL: me_fpt03.pdf.
[96] C.H. Ho, K.H. Tsoi, H.C. Yeung, Y.M. Lam, P.H.W. Leong K.H. Lee, R. Ludewig, P. Zipf, A.G. Ortiz, and M. Glesner. Arbitrary function approximation in HDLs with application to the N-body problem. In Proc. International Conference on Field Programmable Technology (FPT), 84–91. 2003. URL: stam_fpt03.pdf.
[97] C.W. Yu, K.H. Kwong, K.H. Lee, and P.H.W. Leong. A Smith-Waterman systolic cell. In Proc. International Conference on Field Programmable Logic and Applications (FPL), 375–384. 2003. Selected as one of the 27 most significant papers from the first 25 years of FPL. URL: sw_fpl03.pdf.
[98] Y.M. Lam, M.W. Mak, and P.H.W. Leong. Fixed point implementations of speech recognition systems. In Proceedings of the International Signal Processing Conference GSPx. 2003. URL: float_ispc03.pdf.
[99] Johnny M. H. Lee, Steve C. L. Yuen, Wen J. Li, and and Philip H. W. Leong. Development of an aa-size energy transducer with micro resonators. In IEEE Int. Symp. on Circuit and Systems (ISCAS), volume 4, 876–879. May 2003. URL: gen_iscas03.pdf.
[100] Stanley Y.C. Li, Gap C.K. Cheuk, K.H. Lee, and Philip H.W. Leong. FPGA-based SIMD processor. In Proc. IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM), 267–268. 2003. URL: mpp_fccm03.pdf.
[101] K.H. Tsoi, K.H. Leung, and P.H.W. Leong. Compact FPGA-based true and pseudo random number generators. In Proc. IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM), 51–61. 2003. URL: tprng_fccm03.pdf.
[102] D.K.Y. Tong, P.S. Lo, K.H. Lee, and P.H.W. Leong. A system level implementation of Rijndael on a memory-slot based FPGA card. In Proc. International Conference on Field Programmable Technology (FPT), 102–109. 2002. URL: aes_fpt02.pdf.
[103] O.Y.H. Cheung and P.H.W. Leong. Implementation of an FPGA based accelerator for virtual private networks. In Proc. International Conference on Field Programmable Technology (FPT), 34–41. 2002. URL: vpn_fpt02.pdf.
[104] Kurt K. Ting, Steve C.L. Yuen, K. H. Lee, and Philip H.W. Leong. An FPGA based SHA-256 processor. In Proc. International Conference on Field Programmable Logic and Applications (FPL), 577–585. 2002. URL: sha_fpl02.pdf.
[105] C.H. Ho, P.H.W. Leong, K.H. Lee, K.H. Tsoi, R. Ludewig, P. Zipf, A.G. Ortiz, and M. Glesner. Fly – a modifiable hardware compiler. In Proc. International Conference on Field Programmable Logic and Applications (FPL), volume 2438 of LNCS, 381–390. 2002. URL: fly_fpl02.pdf.
[106] C.H. Ho, M.P. Leong, P.H.W. Leong, J. Becker, and M. Glesner. Rapid prototyping of FPGA based floating point DSP systems. In Proc. IEEE International Workshop on Rapid System Prototyping (RSP), 19–24. 2002. URL: float_rsp02.pdf.
[107] K.H. Tsoi, K.H. Lee, and P.H.W. Leong. A massively parallel rc4 key search engine. In Proc. IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM), 13–21. 2002. URL: rc4_fccm02.pdf.
[108] M.P. Leong and P.H.W. Leong. A variable-radix digit-serial architecture. In Proc. IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM). 2002. URL: vrvw_fccm02.pdf.
[109] K.H. Tsoi, O.Y.H. Cheung, and P.H.W. Leong. A variable-radix systolic montgomery multiplier. In Proc. IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM). 2002. URL: mm_fccm02.pdf.
[110] Neil N. H. Ching, H. Y. Wong, Wen J. Li, Philip H. W. Leong, and Zhiyu Wen. A laser-micromachined multi-modal resonating power transducer for wireless sensing systems. In Proceedings of the 11th International Conference on Solid-State Sensors and Actuators (Transducers’01/Eurosensors XV). 2001.
[111] O.Y.H. Cheung, K.H. Tsoi, P.H.W. Leong, and and M.P. Leong. Tradeoffs in parallel and serial implementations of the international data encryption algorithm IDEA. In Proc. International Workshop on Cryptographic Hardware and Embedded Systems (CHES), 333–347. 2001. URL: idea_ches01.pdf.
[112] M.P. Leong, C.T. Jin, and P.H.W. Leong. Parameterized module generator for an FPGA–based electronic cochlea. In Proc. IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM), 21–30. 2001. URL: cochlea_fccm01.pdf.
[113] P.H.W. Leong, M.P. Leong, O.Y.H. Cheung, T. Tung, C.M. Kwok, M.Y. Wong, and K.H. Lee. Pilchard - a reconfigurable computing platform with memory slot interface. In Proc. IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM), 170–179. 2001. Selected as one of the 25 most significant papers from the first 20 years of FCCM. URL: pilchard_fccm01.pdf.
[114] C. Jin, P. Leong, J. Leung, A. Corderoy, and S. Carlile. Enabling individualized virtual auditory space using morphological measurements. In Proceedings of the First IEEE Pacific-Rim Conference on Multimedia (IEEE International Conference on Multimedia Information Processing). 2000. URL: morph_icmip00.pdf.
[115] K.H. Leung, K.W. Ma, W.K. Wong, and and P.H.W. Leong. FPGA implementation of a microcoded elliptic curve cryptographic processor. In Proc. IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM), 68–76. 2000. URL: ecc_fccm00.pdf.
[116] M.P. Leong, O.Y.H. Cheung, K.H. Tsoi, and and P.H.W. Leong. A bit-serial implementation of the international data encryption algorithm IDEA. In Proc. IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM), 122–131. 2000. URL: idea_fccm00.pdf.
[117] Wen J. Li, Philip H. W. Leong, Terry C. H. Hong, Hiu Yung Wong, and Gordon M. H. Chan. Infrared signal transmission by a laser-micromachined vibration-induced power generator. In Proceedings of the 43rd IEEE Midwest Symposium on Circuits and Systems, 236–239. August 2000. URL: gen_mwcs00.pdf.
[118] W.J. Li, Z. Wen, P.K. Wong, G.M.H. Chan, and P.H.W. Leong. A micromachined vibration-induced power generator for low power sensors of robotic systems. In Proceedings of the World Automation Congress: 8th International Symposium on Robotics with Applications. 2000. URL: gen_wac00.pdf.
[119] Neil N. H. Ching, Gordon M. H. Chan, Wen J. Li, Hiu Yung Wong, and Philip H. W. Leong. Pcb-integrated micro-generator arrays for wireless systems. In Proceedings of the International Symposium on Smart Structures and Microsystems. 2000. URL: gen_isssm00.pdf.
[120] H.Y. Wong, W.S. Yuen, K.H. Lee, and P.H.W. Leong. A runtime reconfigurable implementation of the gsat algorithm. In Proc. International Conference on Field Programmable Logic and Applications (FPL), 526–531. 1999. URL: gsat_fpl99.pdf.
[121] C.K. Chung and P.H.W. Leong. An architecture for solving boolean satisfiability using runtime configurable hardware. In Proceedings of the International Workshops on Parallel Processing, 352–357. 1999.
[122] P.H.W. Leong, Y.S. Moon, W.K. Sim, and D.W.P. Lam. Sound quality measurements in headphones. In Proceedings of the 106th Audio Engineering Society (AES) Convention, volume Preprint 4874(B6). 1999. URL: hphone_aes99.pdf.
[123] P.K. Tsang, C.C. Cheung, K.H. Leung, T.K. Lee, and and P.H.W. Leong. An asynchronous forth microprocessor. In Proceedings of the IEEE Region 10 Conference (TENCON), 1079–1082. 1999.
[124] M.P. Leong, M.Y. Yeung, C.K. Yeung, C.W. Fu, P.A. Heng, and P.H.W. Leong. Automatic floating to fixed point translation and its application to post–rendering 3D warping. In Proc. IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM), 240–248. 1999. URL: fp_fccm99.pdf.
[125] A.W.K. Sim, C.T. Jin, L.W. Chan, and P.H.W. Leong. A comparison of methods for clustering of electrophysiological multineuron recordings. In Proceedings of the International Conference of the IEEE Engineering in Medicine and Biology Society, volume 3, 1381–1384. 1998. URL: spike_embs98.pdf.
[126] T.K. Lee, P.H.W. Leong, K.H. Lee, K.T. Chan, S.K. Hui, H.K. Yeung, M.F. Lo, and J.H.M. Lee. An FPGA implementation of GENNET for solving graph coloring problems. In Proc. IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM), 284–285. 1998. URL: gennet_fccm98.pdf.
[127] P.H.W. Leong, P.K. Tsang, and T.K. Lee. A FPGA based forth microprocessor. In Proc. IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM), 254–255. 1998. URL: msl16_fccm98.pdf.
[128] C.T. Jin and P.H.W. Leong. An analogue VLSI time–encoded pattern classifier. In Proceedings of the Seventh Australian Conference on Neural Networks, 212–215. 1996.
[129] W. Chung, S. Carlile, and P. Leong. A computational model for auditory localization. In Proceedings of the Seventh Australian Conference on Neural Networks, 150–154. 1996.
[130] S. Carlile, P. Leong, S. Hyams, and D. Pralong. Distribution of errors in auditory localization. In Proceedings of the Australian Neuroscience Society Conference, 225. 1996.
[131] S. Carlile, P. Leong, D. Pralong, R. Boden, and S. Hyams. High fidelity virtual auditory space: an operational definition. In Proceedings of the Simulation and Technology Training Conference (SimTecT96), 79–84. 1996.
[132] P. Leong, W. Chung, D. Pralong, and S. Carlile. A performance adequate computer model of human auditory localization. In British Journal of Audiology. 1996.
[133] K. K. Lai and P.H.W. Leong. Implementation of a time–multiplexed CNN building block cell. In Proceedings of the Microneuro Conference, 80–85. 1996. URL: cnn_microneuro96.pdf.
[134] K. K. Lai and P. H. W. Leong. An area–efficient implementation of a cellular neural network. In Proceedings of the Second New Zealand International Two–Stream Conference on Artificial Neural Networks and Expert Systems, 51–54. 1995. URL: cnn_nz95.pdf.
[135] K. K. Lai, P. H. W. Leong, and M. A. Jabri. Analogue CMOS implementation of a cellular neural network. In Proceedings of the Sixth Australian Conference on Neural Networks, 17–20. 1995.
[136] M. A. Jabri, P. H. W. Leong, J. Burr, B. Flower, K. Lai, S. Pickard, E. Tinker, and R. Coggins. An analogue neural network using mcm technology. In Proceedings of the First New Zealand International Two–Stream Conference on Artificial Neural Networks and Expert Systems, 122–125. 1993. URL: mcmann_nz93.pdf.
[137] P. H. W. Leong and M. A. Jabri. A low power analogue neural network classifier chip. In Proceedings of the IEEE Custom Integrated Circuits Conference, pp. 4.5.1–4.5.4. 1993. URL: kakadu_cicc93.pdf.
[138] M. A. Jabri, R. Coggins, E. Tinker, and P. Leong. Performance of learning algorithms for analog low power neural network chips. In Proceedings of the Neural Networks for Computing Conference. 1993.
[139] P. H. W. Leong and M.A. Jabri. Kakadu – a low power analogue neural network. In Proceedings of the Third International Conference on Microelectronics for Neural Networks, 207–216. 1993.
[140] P. H. W. Leong and M. A. Jabri. A VLSI arrhythmia classifier. In Proceedings of the Fourth Australian Conference on Neural Networks, 41–444. 1994.
[141] P. H. W. Leong and M.A. Jabri. An analogue low power VLSI neural network. In Proceedings of the International Joint Conference on Neural Networks, volume II-6, 78–83. 1992. URL: vlsiann_ijcnn92.pdf.
[142] P. H. W. Leong and M. A. Jabri. An analogue low power VLSI neural network. In Proceedings of the Third Australian Conference on Neural Networks, 147–150. 1992.
[143] M. Jabri, S. Pickard, P. Leong, B. Flower, and Y. Xie. ANN based classification of heart defibrillators. In Advances in Neural Information Processing Systems (NIPS) 4, 637–644. 1992.
[144] P. H. W. Leong and M. A. Jabri. Arrhythmia classification using two intracardiac leads. In Proceedings of Computers in Cardiology, 189–192. 1991.
[145] M.A. Jabri, S. Pickard, P. Leong, B. Flower, G. Rigby, and P. Henderson. VLSI implementation of neural networks with application to signal processing. In Proceedings of the IEEE International Symposium on Circuits and Systems, 1275–1278. 1991. URL: vlsiann_iscas91.pdf.
[146] P. H. W. Leong and M. A. Jabri. Connection topologies for digital neural networks. In Proceedings of the Second Australian Conference on Neural Networks, 34–37. 1991.
[147] P. H. W. Leong and C. Tham. Unix password encryption considered insecure. In Proceedings of the USENIX Technical Conference, 269–279. 1991. URL: crypt_usenix91.pdf.
[148] P. H. W. Leong. Implementation of a high performance multibit beamformer. In Proceedings of the International Symposium on Signal Processing and its Applications, 388–390. 1990.

Patents

[1] Philip Leong, Jingyi Li, Richard Walter Radamacher, and Chad Spooner. Method for estimating the spectral correlation function utilising the sparse fourier transform. Australian Provisional Patent Application 2023902858, September 2023.
[2] Philip Leong and Wen Li. Tire pressure system. US Patent 20070069876 A1, March 2007.
[3] C. Jin, P. Leong, J. Leung, S. Carlile, and A. van Schaik. The generation of customized three-dimensional sound effects for individuals. World Patent Office 01/54453, July 2001.
[4] A. Kramer, R. Canegallo, M. Chinosi, G. Gozzini, P. Leong, P. L. Rolandi, and M. Sabatini. Voltage comparator with floating gate mos transistor. US Patent 6,014,044, January 2000. SGS–Thomson Microelectronics S.r.l.
[5] A. Kramer, R. Canegallo, M. Chinosi, G. Gozzini, P. Leong, P. L. Rolandi, and M. Sabatini. Digital-to-analog current converter employing floating gate transistors. US Patent 5,990,816, 9 1997. SGS–Thomson Microelectronics S.r.l.
[6] P.H.W. Leong and M.A. Jabri. A method and system for automatically classifying intracardiac electrograms. US Patent 5,280,792, 1 1994. University of Sydney.

Books

[1] Anthony Mihirana de Silva and Philip H.W. Leong. Grammar-Based Feature Generation for Time-Series Prediction. Springer, 2015. ISBN 978-981-287-410-8 (print) 978-981-287-411-5 (electronic). URL: http://link.springer.com/book/10.1007%2F978-981-287-411-5.
[2] Philip Leong. Arrhythmia classification using low power VLSI. PhD thesis, University of Sydney, 1992. URL: phdthesis.pdf.

Chapters in Books

[1] Jia-Chen Hua, Farzad Noorian, Philip H. W. Leong, Gemunu Gunaratne, and Jorge Goncalves. Prediction of high-dimensional time series with exogenous variables using generalized koopman operator framework in reproducing kernel Hilbert space. In Ignacio Rojas, Prof. Hector Pomares, and Olga Valenzuela, editors, Time Series Analysis and Forecasting: Selected Contributions from ITISE 2017. Springer, 2018. URL: koopman_itise.pdf, doi:10.1007/978-3-319-96944-2_5.
[2] D. Boland, C.K. Cheng, A.B. Kahng, and P.H.W. Leong. Reconfigurable computing. In J.G. Webster, editor, Encyclopedia of Electrical and Electronics Engineering. Wiley, 3rd edition, 2017. URL: rc_eeee17.pdf, doi:10.1002/047134608X.
[3] Michael Frechtling and Philip H.W. Leong. An FPGA-based floating point unit for rounding error analysis. In Wayne Luk and George Constantinides, editors, Transforming Reconfigurable Systems. Imperial College Press, 2015. URL: mca_icpress15.pdf, doi:10.1142/9781783266975_0003.
[4] C.K. Cheng, A.B. Kahng, and P.H.W. Leong. Reconfigurable computing. In J.G. Webster, editor, Encyclopedia of Electrical and Electronics Engineering. Wiley, 2nd edition, 2007. URL: rc_eeee07.pdf.
[5] C.W. Yu, K.H. Kwong, K.H. Lee, and P.H.W. Leong. A Smith-Waterman systolic cell. In Patrick Lysaght and Wolfgang Rosenstiel, editors, New Algorithms Architectures and Applications for Reconfigurable Computing, pages 291–300. Springer, 2003. URL: sw_fpl03book.pdf.
[6] P. Leong, T. Tucker, and S. Carlile. Digital signal processing for the auditory scientist: a tutorial introduction. In S. Carlile, editor, Virutal Auditory Space: Generation and Applications, pages 79–108. Landis Scientific, 1996.
[7] P. Leong. Kakadu – a low power analog VLSI multi–layer perceptron. In M. Jabri, R. Coggins, and B. Flower, editors, Adaptive Analog VLSI Neural Systems, pages 89–103. Chapman and Hall, 1995.
[8] M. Jabri, S. Pickard, P. Leong, Z. Chi, E. Tinker, and R. Coggins. Intra–cardiac electro–gram classification using artificial neural networks. In Neural Network Applications, pages 93–112. Kluwer Academic, 1994.

Edited Books

[1] Jürgen Becker, Michael Hübner, Roger Woods, Philip Leong, Rob Esser, and Lionel Torres, editors. International Journal of Reconfigurable Computing. Hindawi, 2008. Special issue on Current Trends in Reconfigurable Computing.
[2] P. Leong, A. Koch, and E. Boemo, editors. IET Computers & Digital Techniques Journal. The Institution of Engineering and Technology IET, 2007. Special issue on the 2006 Field Programmable Logic Conference.
[3] A. Koch, P. Leong, and E. Boemo, editors. Proceedings of the International Confernce on Field Programmable Logic and Applications, Madrid Spain. IEEE, 2006. IEEE Catalog Number: 06EX1349, ISBN: 1-4244-0312-X, Library of Congress: 2006922286.
[4] S. Wilton, T. Rissa, and P. Leong, editors. Proceedings of the International Confernce on Field Programmable Logic and Applications, Tampere Finland. IEEE, 2005. ISBN 0-7803-9362-7.
[5] P. Leong and M. Jabri, editors. Proceedings of the Third Australian Conference on Neural Networks (ACNN), Canberra. The University of Sydney, 1992.

Miscellaneous

[1] P. Leong. Barramundi on fly. www.flyfish.com, 2004. URL: http://www.flyfish.com/library/editorial.php?id=611.
[2] P. Leong. Low-cost reconfigurable computing (FPGAs). Slashdot, 2001. URL: http://slashdot.org/hardware/01/11/04/1947212.shtml.
[3] P. Leong. Book review. EA Electrical Engineering Review, 1(3):34–35, 1995.
[4] P. Leong. Marlin on fly. (Australian) Modern Fishing Magazine, pages 50–53, 1994. URL: marlin_mf94.pdf.
[5] P. Leong. Current source scrounges parts. EDN Magazine, pages 182, June 1991. URL: isrc_edn91.pdf.